Skip to main content
Video s3
    Details
    Presenter(s)
    GABRIELE MONTANARO Headshot
    Affiliation
    Affiliation
    POLITECNICO DI MILANO
    Country
    Author(s)
    Affiliation
    Affiliation
    POLITECNICO DI MILANO
    Display Name
    Andrea Galimberti
    Affiliation
    Affiliation
    Politecnico di Milano
    Display Name
    Ernesto Colizzi
    Affiliation
    Affiliation
    SIAE MICROELETTRONICA
    Display Name
    Davide Zoni
    Affiliation
    Affiliation
    Politecnico di Milano
    Abstract

    In order to mitigate the security threat of quantum computers, NIST is undertaking a process to standardize post-quantum cryptosystems, aiming to assess their security and speed up their adoption in production scenarios. Several hardware and software implementations have been proposed for each candidate, while only a few target heterogeneous platforms featuring CPUs and FPGAs. This work presents a HW/SW co-design of BIKE for embedded platforms featuring both CPUs and small FPGAs and employs high-level synthesis (HLS) to timely deliver the hardware accelerators. In contrast to state-of-the-art solutions targeting performance-optimized HLS accelerators, the proposed solution targets the small FPGAs implemented in the heterogeneous platforms for embedded systems. Compared to the software-only execution of BIKE, the experimental results collected on the systems-on-chip of the entire Xilinx Zynq-7000 family highlight a performance speedup ranging from 1.37×, on Z-7010, to 2.78×, on Z-7020.

    Slides
    • Hardware-Software Co-Design of BIKE with HLS-Generated Accelerators (application/pdf)